Tongasoa eto amin'ny tranokalanay!

FPGA Xilinx K7 Kintex7 PCIe fibre optika fifandraisana

Famaritana fohy:

Ity misy topimaso ankapoben'ireo dingana tafiditra:

  1. Mifidiana môdely transceiver optika mety: Miankina amin'ny fepetra manokana amin'ny rafi-pifandraisana optika anao, mila misafidy môdely transceiver optika ianao izay manohana ny halavan'ny onjam-peo, ny tahan'ny angona ary ny toetra hafa.Ny safidy mahazatra dia ahitana mody manohana Gigabit Ethernet (oh: maody SFP/SFP+) na fenitry ny fifandraisana optika haingana kokoa (oh: mody QSFP/QSFP+).
  2. Ampifandraiso amin'ny FPGA ny transceiver optika: Matetika ny FPGA dia mifandray amin'ny maodelin'ny transceiver optika amin'ny alàlan'ny rohy serial haingam-pandeha.Ny transceiver mitambatra an'ny FPGA na ny pin I/O natokana ho an'ny fifandraisana an-tariby haingam-pandeha dia azo ampiasaina amin'izany tanjona izany.Mila manaraka ny angon-drakitra momba ny mody transceiver sy ny torolalana momba ny famolavolana reference ianao mba hampifandraisana azy tsara amin'ny FPGA.
  3. Ampiharo ny protocole ilaina sy ny fanodinana famantarana: Raha vao tafapetraka ny fifandraisana ara-batana dia mila mamolavola na manamboatra ny protocols ilaina sy ny algorithm fanodinana famantarana ho an'ny fampitana sy fandraisana angona ianao.Tafiditra ao anatin'izany ny fampiharana ny protocol PCIe ilaina amin'ny fifandraisana amin'ny rafitra mpampiantrano, ary koa ny algorithm fanodinana famantarana fanampiny ilaina amin'ny fanodinana / decoding, modulation / demodulation, fanitsiana diso, na asa hafa manokana amin'ny fampiharana anao.
  4. Ampidiro amin'ny interface PCIe: Ny Xilinx K7 Kintex7 FPGA dia manana rafitra PCIe naorina izay ahafahany mifandray amin'ny rafitra mpampiantrano mampiasa bus PCIe.Mila manamboatra sy mampifanaraka ny interface PCIe ianao mba hahafeno ny fepetra manokana amin'ny rafi-pifandraisana optika anao.
  5. Andramo sy hamarino ny fifandraisana: Rehefa ampiharina dia mila mitsapa sy manamarina ny fiasan'ny fifandraisana amin'ny fibre optika ianao amin'ny fampiasana fitaovana sy fomba fitsapana mety.Anisan'izany ny fanamarinana ny tahan'ny angon-drakitra, ny tahan'ny fahadisoana kely, ary ny fahombiazan'ny rafitra ankapobeny.

Product Detail

Tags vokatra

Mombamomba ny vokatra:

  • DDR3 SDRAM: 16GB DDR3 64bit bus, tahan'ny data 1600Mbps
  • QSPI Flash: Sombitsombiny 128mbit QSPIFLASH, izay azo ampiasaina amin'ny rakitra fanamafisana FPGA sy fitahirizana angon-drakitra mpampiasa
  • Interface PCLEX8: Ny interface PCLEX8 mahazatra dia ampiasaina hifandraisana amin'ny serasera PCIE amin'ny renin'ny solosaina.Izy io dia manohana ny fenitra PCI, Express 2.0.Ny tahan'ny fifandraisana amin'ny fantsona tokana dia mety hahatratra 5Gbps
  • USB UART seranan-tsambo: Serial seranan-tsambo, mifandray amin'ny PC amin'ny alalan'ny miniusb tariby mba hanao serial fifandraisana
  • Karatra Micro SD: Seza karatra Microsd amin'ny lalana rehetra, azonao atao ny mampifandray ny karatra Microsd mahazatra
  • Sensor mari-pana: chip sensor LM75, izay afaka manara-maso ny hafanan'ny tontolo iainana manodidina ny birao fampandrosoana
  • FMC fanitarana seranan-tsambo: ny FMC HPC sy ny FMCLPC, izay mety mifanaraka amin'ny isan-karazany fanitarana board karatra
  • ERF8 haingam-pandeha fifandraisana terminal: 2 ERF8 seranan-tsambo, izay manohana ultra-haingam-pandeha ambony fampitana famantarana 40pin fanitarana: natokana fanitarana ankapobeny IO interface tsara amin'ny 2.54mm40pin, mahomby O manana 17 tsiroaroa, fanohanana 3.3V
  • Ny fifandraisana periferika amin'ny ambaratonga sy ny haavon'ny 5V dia afaka mampifandray ny periferika periferika amin'ny interface 1O amin'ny ankapobeny.
  • SMA terminal;Lohan'ny SMA 13 vita amin'ny volamena avo lenta, izay mety amin'ny mpampiasa ny miara-miasa amin'ny karatra fanitarana AD/DA FMC haingam-pandeha ho an'ny fanangonana sy fanodinana famantarana.
  • Fitantanana famantaranandro: Loharano famantaranandro maro.Anisan'izany ny 200MHz system differential clock source SIT9102
  • Oscillating kristaly tsy mitovy: krystaly 50MHz sy SI5338P programamable Clock management chip: misy koa
  • 66MHz EMCCLK.Afaka mampifanaraka tsara amin'ny fampiasana hafa matetika ny famantaranandro
  • JTAG seranan-tsambo: 10 stitches 2.54mm standard JTAG seranan-tsambo, ho télécharger sy debugging ny FPGA fandaharana
  • Chip fanaraha-maso malefaka sub-reset: sombin-tsolika fanaraha-maso malefaka ADM706R, ary ny bokotra miaraka amin'ny bokotra dia manome famantarana famerenana manerantany ho an'ny rafitra.
  • LED: Jiro LED 11, manondro ny famatsiana herinaratra ny karatra board, famantarana config_done, FMC
  • Famantarana herinaratra, ary LED mpampiasa 4
  • Key sy switch: fanalahidy 6 sy switch 4 dia bokotra reset FPGA,
  • Ny bokotra Program B sy ny fanalahidin'ny mpampiasa 4 dia voaforona.4 tokana-antsy tora-droa switch

  • teo aloha:
  • Manaraka:

  • Soraty eto ny hafatrao ary alefaso aminay